Студопедия
Случайная страница | ТОМ-1 | ТОМ-2 | ТОМ-3
АрхитектураБиологияГеографияДругоеИностранные языки
ИнформатикаИсторияКультураЛитератураМатематика
МедицинаМеханикаОбразованиеОхрана трудаПедагогика
ПолитикаПравоПрограммированиеПсихологияРелигия
СоциологияСпортСтроительствоФизикаФилософия
ФинансыХимияЭкологияЭкономикаЭлектроника

Практическая организация чтение текстовых данных из файла ОС.

Тема: VHDL проектирование криптографических процессоров с использованием файлового ввода/вывода | Практическая организация ЗАПИСИ текстовых ДАННЫХ в ФАЙЛ ОС. | Waveform Editor1 | ПримерVHDL проекта оперирующего с файлами ОС | Форматированные операции ввода-вывода (чтения-записи) с символами ASCII. | Процедуры записи данных в файл для стандартных типов данных | Проиллюстрируем примерами методологию применения процедур чтения/записи стандартных типов данных, определенных в пакете TEXTIO библиотеки STD. |


Читайте также:
  1. A.6.5 Фильтры в командных файлах.
  2. DFD - диаграмма потоков данных
  3. II. ОРГАНИЗАЦИЯ И ПОРЯДОК ПРОХОЖДЕНИЯ ПРАКТИКИ
  4. II. Организация и порядок работы комиссии по трудовым спорам
  5. II. Организация и порядок работы комиссии по трудовым спорам
  6. II. Организация и порядок работы комиссии по трудовым спорам
  7. IV. Руководство классным коллективом и его организация

2.1 Проект Варианта 0

 

File: D:\my_designs_ahdl\file_rd1\src\file_rd1.vhd

 

library IEEE;

use IEEE.STD_LOGIC_1164.all;

use STD.TEXTIO.all;

 

entity file_rd1 is

port(

clk: in STD_LOGIC;

addr: in natural;

sim_out: out CHARACTER);

end file_rd1;

 

architecture file_rd1_ar of file_rd1 is

begin

process(clk, addr)

variable read_vec: string(1 to 15); --объявление программного буфера

variable sim: CHARACTER;

variable wid: natural;

file vect_r: TEXT open READ_MODE is –- Организация контейнера и "D:\my_designs_ahdl\file_rd1\src\fi.dat"; --связывание его с

-- файлом ОС

 

begin

if clk'event and clk='1' then

FILE_OPEN(vect_r,"D:\my_designs_ahdl\file_rd1\src\f1.dat", READ_MODE); --открытие контейнера

READ(vect_r, read_vec, wid);-- оператор вызова подпрогр. READ()

sim:= read_vec(addr);

sim_out <= sim;

end if;

FILE_CLOSE(vect_r); --Закрытие контейнера

end process;

end file_rd1_ar;

 

Пояснения к отдельным строкам и переменным в описанной программе приведены ниже:

 

variable read_vec: string(1 to 15) – программный буфер-приемник данных из контейнера. Эта переменная определяет объем читаемых данных из контейнера;

variable wid: natural; - переменная, контролирующая количество прочитанных данных;

 

file vect_r: TEXT open READ_MODE is D:\my_designs_ahdl \file_rd1\src\fi.dat"; --объявление переменной Ф.Т. (контейнера) vect_r с

--правами READ_MODE и связывание ее файлом ОС

FILE_OPEN(vect_r,"D:\my_designs_ahdl\file_rd1\src\f1.dat", READ_MODE); --

--оператор вызова подпрограммы открытия файла;

READ(vect_r, read_vec, wid);-- оператор вызова подпрограммы чтения данных;

sim_out <= sim; -- пересылка читаемых данных (символов) на выходной порт;

FILE_CLOSE(vect_r); -- оператор вызова подпрограммы закрытия файла;

variable wid: natural; – указатель (курсор) файла.

 

Для моделирования работы проекта в файле D:\my_designs_ahdl\file_rd1\src\f1.dat расположен такой текст:

 


Дата добавления: 2015-08-27; просмотров: 52 | Нарушение авторских прав


<== предыдущая страница | следующая страница ==>
FILE_CLOSE (Имя файлового объекта (контейнера)).| Читаем текст из файла!

mybiblioteka.su - 2015-2024 год. (0.005 сек.)