Студопедия
Случайная страница | ТОМ-1 | ТОМ-2 | ТОМ-3
АрхитектураБиологияГеографияДругоеИностранные языки
ИнформатикаИсторияКультураЛитератураМатематика
МедицинаМеханикаОбразованиеОхрана трудаПедагогика
ПолитикаПравоПрограммированиеПсихологияРелигия
СоциологияСпортСтроительствоФизикаФилософия
ФинансыХимияЭкологияЭкономикаЭлектроника

Форматированные операции ввода-вывода (чтения-записи) с символами ASCII.

Тема: VHDL проектирование криптографических процессоров с использованием файлового ввода/вывода | FILE_CLOSE (Имя файлового объекта (контейнера)). | Практическая организация ЧТЕНИЕ текстовых ДАННЫХ из ФАЙЛА ОС. | Читаем текст из файла! | Практическая организация ЗАПИСИ текстовых ДАННЫХ в ФАЙЛ ОС. | Waveform Editor1 | Проиллюстрируем примерами методологию применения процедур чтения/записи стандартных типов данных, определенных в пакете TEXTIO библиотеки STD. |


Читайте также:
  1. Арифметические операции, функции, выражения. Арифметический оператор присваивания
  2. Векторы и операции над ними
  3. ВНЕШНЕТОРГОВЫЕ ОПЕРАЦИИ КУПЛИ-ПРОДАЖИ ТОВАРОВ
  4. Глава 12. Управление и комбинированные операции
  5. Глава 12. Управление и Комбинированные Операции
  6. Глава VIII. БАНКОВСКИЕ ОПЕРАЦИИ И СДЕЛКИ БАНКА РОССИИ
  7. Групповые операции

----------------------------------------------------------------------------------

package TEXTIO is

type LINE is access string; -- LINE является указателем значения типа SRING

type TEXT is file of string;-- Файл из ASCII записей переменной длины

type SIDE is (right, left); -- Для выравнивания записей полей записи/чтения

subtype WIDTH is natural; -- Для задания ширины полей записей

 

-- Стандартные текстовые файлы

-- changed for vhdl92 syntax:

----------------------------------------------------------------------------------

 

file input: TEXT open read_mode is "STD_INPUT";

file output: TEXT open write_mode is "STD_OUTPUT";

 

 

-- Процедуры чтения данных из файла для стандартных типов данных

--changed for vhdl92 syntax (and now a built-in):

---------------------------------------------------------------------------------

procedure READLINE(file f: TEXT; L: out LINE); --возвращает указатель на строку

 

procedure READ(L:inout LINE; VALUE: out bit; GOOD: out BOOLEAN);

procedure READ(L:inout LINE; VALUE: out bit);

 

procedure READ(L:inout LINE; VALUE: out bit_vector; GOOD: out BOOLEAN);

procedure READ(L:inout LINE; VALUE: out bit_vector);

 

procedure READ(L:inout LINE; VALUE: out BOOLEAN; GOOD: out BOOLEAN);

procedure READ(L:inout LINE; VALUE: out BOOLEAN);

 

procedure READ(L:inout LINE; VALUE: out character; GOOD: out BOOLEAN);

procedure READ(L:inout LINE; VALUE: out character);

 

procedure READ(L:inout LINE; VALUE: out integer; GOOD: out BOOLEAN);

procedure READ(L:inout LINE; VALUE: out integer);

 

procedure READ(L:inout LINE; VALUE: out real; GOOD: out BOOLEAN);

procedure READ(L:inout LINE; VALUE: out real);

 

procedure READ(L:inout LINE; VALUE: out string; GOOD: out BOOLEAN);

procedure READ(L:inout LINE; VALUE: out string);

 

procedure READ(L:inout LINE; VALUE: out time; GOOD: out BOOLEAN);

procedure READ(L:inout LINE; VALUE: out time);

 

--------------------------------------------------------------------------


Дата добавления: 2015-08-27; просмотров: 47 | Нарушение авторских прав


<== предыдущая страница | следующая страница ==>
ПримерVHDL проекта оперирующего с файлами ОС| Процедуры записи данных в файл для стандартных типов данных

mybiblioteka.su - 2015-2024 год. (0.006 сек.)