Студопедия
Случайная страница | ТОМ-1 | ТОМ-2 | ТОМ-3
АрхитектураБиологияГеографияДругоеИностранные языки
ИнформатикаИсторияКультураЛитератураМатематика
МедицинаМеханикаОбразованиеОхрана трудаПедагогика
ПолитикаПравоПрограммированиеПсихологияРелигия
СоциологияСпортСтроительствоФизикаФилософия
ФинансыХимияЭкологияЭкономикаЭлектроника

FILE_CLOSE (Имя файлового объекта (контейнера)).

Читаем текст из файла! | Практическая организация ЗАПИСИ текстовых ДАННЫХ в ФАЙЛ ОС. | Waveform Editor1 | ПримерVHDL проекта оперирующего с файлами ОС | Форматированные операции ввода-вывода (чтения-записи) с символами ASCII. | Процедуры записи данных в файл для стандартных типов данных | Проиллюстрируем примерами методологию применения процедур чтения/записи стандартных типов данных, определенных в пакете TEXTIO библиотеки STD. |


Читайте также:
  1. VIII. Сдача и приемка объекта
  2. VIII. Сдача и приемка объекта
  3. VIII. Сдача и приемка объекта
  4. VIII. Сдача и приемка объекта
  5. VIII. Сдача и приемка объекта
  6. Виды угроз информационным объектам

 

Действие, способствующее фактическому чтению данных из файла ОС реализуется с помощью специальных подпрограмм процедур read(…). Эти подпрограммы процедуры определены в package TEXTIO библиотеки STD (Смотри приложение №1).

Одна из конструкций объявления подпрограммы процедуры read(…) для чтения текстовых данных из файла имеет такой вид:

Procedure READ (file Имя файлового объекта (контейнера): TEXT; variable value(Имя специального программного буфера): out string (1 to N); variable width(Имя переменной индицирующей количество прочитанных символов): out natural);

Как видно из конструкции объявления этой подпрограммы, она способна только переместить данные из контейнера в специальный программный буфер, который следует организовать в проекте. Для последующего доступа к специальному программному буферу и, следовательно, достижения видимости прочитанных из контейнера данных в проекте, требуется дополнительно (посредством операции присвоения) перенести данные из специального программного буфер в специально организованный массив. Только после переноса читаемых данных из специального программного буфера в массив они становятся видимыми в теле архитектуры проекта.

Для фактического свершения переноса (операции чтения) данных из файла ОС в контейнер в разделе выполняемых операторов оператора process(…) следует организовать оператор вызова подпрограммы процедуры read(…). Конструкция оператора вызова подпрограммы процедуры read(…) с наименование идентификаторов фактических аргументов имеет такой вид:

 

READ (Имя файлового объекта (контейнера), Имя специального программного буфера, Имя переменной индицирующей количество прочитанных символов);

Примечание: Примеры VHDL проектов, где практически организованы процедуры чтения данных из файла ОС, приведены в параграфе 2 настоящей лекции.

 

Процедура записи данных в файл ОС реализуется с помощью специальных подпрограмм процедур write(…). Эти подпрограммы процедуры определены в package TEXTIO библиотеки STD (Смотри приложение №1).

Одна из конструкций объявления подпрограммы процедуры write (…) для записи текстовых данных в файл ОС имеет такой вид:

Procedure WRITE (file Имя файлового объекта (контейнера): TEXT; variable value(Имя специального программного буфера): out string (1 to N));

Как видно из конструкции объявления этой подпрограммы она способна только переместить данные из программного буфера в контейнер. Для размещения данных (записываемых в файл ОС) в программном буфере требуется (посредством операции присвоения) перенести данные из массива в программный буфер. Только после переноса данных из массива в специальный программный буфер они смогут попасть в контейнер и затем в файл ОС.

 

Для фактического свершения операции записи данных в файл ОС в разделе выполняемых операторов оператора process(…) следует организовать оператор вызова подпрограммы процедуры write (…). Конструкция оператора вызова подпрограммы процедуры write (…) с наименование идентификаторов фактических аргументов имеет такой вид:

 

WRITE (Имя файлового объекта (контейнера), Имя специального программного буфера, Имя переменной индицирующей количество прочитанных символов);

Примечание: Примеры VHDL проектов, где практически организованы процедуры чтения данных из файла ОС, приведены в параграфе 3 настоящей лекции.

 


Дата добавления: 2015-08-27; просмотров: 163 | Нарушение авторских прав


<== предыдущая страница | следующая страница ==>
Тема: VHDL проектирование криптографических процессоров с использованием файлового ввода/вывода| Практическая организация ЧТЕНИЕ текстовых ДАННЫХ из ФАЙЛА ОС.

mybiblioteka.su - 2015-2024 год. (0.006 сек.)