Студопедия
Случайная страница | ТОМ-1 | ТОМ-2 | ТОМ-3
АрхитектураБиологияГеографияДругоеИностранные языки
ИнформатикаИсторияКультураЛитератураМатематика
МедицинаМеханикаОбразованиеОхрана трудаПедагогика
ПолитикаПравоПрограммированиеПсихологияРелигия
СоциологияСпортСтроительствоФизикаФилософия
ФинансыХимияЭкологияЭкономикаЭлектроника

Читаем текст из файла!

Тема: VHDL проектирование криптографических процессоров с использованием файлового ввода/вывода | FILE_CLOSE (Имя файлового объекта (контейнера)). | Waveform Editor1 | ПримерVHDL проекта оперирующего с файлами ОС | Форматированные операции ввода-вывода (чтения-записи) с символами ASCII. | Процедуры записи данных в файл для стандартных типов данных | Проиллюстрируем примерами методологию применения процедур чтения/записи стандартных типов данных, определенных в пакете TEXTIO библиотеки STD. |


Читайте также:
  1. III. Выразительные средства текста.
  2. Quot;Происхождение Пятикнижия, или Торы. Литературно-критический анализ текста".
  3. X. Прочитайте и письменно переведите текст.
  4. Автоматическая обработка текста в окнах редактирования текста
  5. Авторизация DHCP-сервера и активация контекстов
  6. Авторизация DHCP-сервера и активация контекстов
  7. Автотекст

 

Рис. 2.В1.1 Содержимое файла, из которого читаем данные.

Примечание: Текстовые данные в файле (на строке) должны располагаться, начиная с пятой позиции.

Временная диаграмма работы проекта сохранена в файле D:\my_designs_ahdl\file_rd1\src\Waveform Editor1.awf и показана на рис.2.В1.2

 

Рис. 2.В1.2. Временная диаграмма работы проекта file_rd

1.2 Проект Варианта 2

 

File: D:\my_designs_ahdl\file_rd1\src\file_rd2.vhd

 

library IEEE;

use IEEE.STD_LOGIC_1164.all;

use STD.TEXTIO.all;

 

entity file_rd2 is

port(

clk: in STD_LOGIC;

wid_out: out natural;

sim_out: out CHARACTER);

end file_rd2;

 

architecture file_rd2_ar of file_rd2 is

begin

process(clk)

variable read_vec: string(1 to 6);

variable addr: natural:= 1;

variable sim: CHARACTER;

variable wid: natural;

file vect_r: TEXT open READ_MODE is "fi.dat"; -- Сокращенный путь к файлу

 

begin

if clk'event and clk='1' then

FILE_OPEN(vect_r,"f1.dat");

READ(vect_r, read_vec, wid);

sim:= read_vec(addr);

sim_out <= sim;

addr:=addr+1;

end if;

FILE_CLOSE(vect_r);

end process;

end file_rd2_ar;

 

Некоторые комментарии к данной программе приведены после текста программы Варианта 1.

 

--Текст в.dat файле начат с 5-й позиции.

--Контейнер read_vec содержит 6 позиций.

 

File: D:\my_designs_ahdl\file_rd1\src\Waveform Editor2.awf

 

Рис. 2.В2.1. Временная диаграмма работы проекта file_rd

 


Дата добавления: 2015-08-27; просмотров: 40 | Нарушение авторских прав


<== предыдущая страница | следующая страница ==>
Практическая организация ЧТЕНИЕ текстовых ДАННЫХ из ФАЙЛА ОС.| Практическая организация ЗАПИСИ текстовых ДАННЫХ в ФАЙЛ ОС.

mybiblioteka.su - 2015-2024 год. (0.007 сек.)