Студопедия
Случайная страница | ТОМ-1 | ТОМ-2 | ТОМ-3
АрхитектураБиологияГеографияДругоеИностранные языки
ИнформатикаИсторияКультураЛитератураМатематика
МедицинаМеханикаОбразованиеОхрана трудаПедагогика
ПолитикаПравоПрограммированиеПсихологияРелигия
СоциологияСпортСтроительствоФизикаФилософия
ФинансыХимияЭкологияЭкономикаЭлектроника

Реализация логической схемы

Семисегментный индикатор | Реализация на языке VHDL | Создание прошивки | Мультиплексирование семисегментных индикаторов | Генератор чисел |


Читайте также:
  1. I. . Психология как наука. Объект, предмет и основные методы и психологии. Основные задачи психологической науки на современном этапе.
  2. I. Понятие об эмоциях, их структура и функции. Механизмы психологической защиты
  3. III. Записать предложения на доске и в тетрадях, начертить схемы, дать характеристики.
  4. III.Расчет теплового расходного и мощностного баланса тепловой схемы.
  5. IV. Формирование пунктуационных навыков, умения конструировать предложения, составлять схемы.
  6. IV.Реализация государственной семейной политики на региональном уровне
  7. V. Дифференциация слов и предложений с опорой на схемы.

Для того чтобы уменьшить количество элементов в схеме, следует найти общие комбинаций. Результат реализации схемы приведен на рис. 5.

Рис. 5. Схема дешифратора семисегментного индикатора на элементах логики

Для более компактного применения схема преобразуется в отдельный элемент путем выбора меню Tools->Symbol Wizard.

Рис. 1123. Параметры создания элемента на основе схемы


Дата добавления: 2015-07-20; просмотров: 39 | Нарушение авторских прав


<== предыдущая страница | следующая страница ==>
Логические уравнения| Проверка схемы

mybiblioteka.su - 2015-2024 год. (0.006 сек.)