Студопедия
Случайная страница | ТОМ-1 | ТОМ-2 | ТОМ-3
АвтомобилиАстрономияБиологияГеографияДом и садДругие языкиДругоеИнформатика
ИсторияКультураЛитератураЛогикаМатематикаМедицинаМеталлургияМеханика
ОбразованиеОхрана трудаПедагогикаПолитикаПравоПсихологияРелигияРиторика
СоциологияСпортСтроительствоТехнологияТуризмФизикаФилософияФинансы
ХимияЧерчениеЭкологияЭкономикаЭлектроника

Задание. Зав. Кафедрой БИТ

Читайте также:
  1. III. ТЕХНИЧЕСКОЕ ЗАДАНИЕ
  2. Аналогичное задание
  3. Больной было предложено составить (из карточек с написанными на них цифрами) заданные педагогом в устной форме числа. Больная относительно хорошо справилась с заданием.
  4. Видеозадание
  5. ВТОРОЕ ЗАДАНИЕ
  6. ВЫПОЛНЕННОЕ ЗАДАНИЕ " Y ", либо любое
  7. Выполните тестовое задание (компьютерное тестирование) Общие рекомендации по выполнению теста

Утверждаю

Зав. Кафедрой БИТ

_______________ А..Г.Корченко

“__3__” сентября 2012г.

 

Дисциплина: Системы технической защиты информации

 

Задание

На 2-ю часть курсовой работы

 

Тема: Разработка программного VHDL проекта криптографического процессора текстовой информации в среде Max +Plus II или в среде Active-HDL

Цель работы:

1. Изучить приемы метода программного проектирования электронных технических средств защиты информации на языке VHDL.

2. Изучить приемы проектирования и моделирования работы программных проектов криптопроцессоров в среде Active HDL 8.1..

 

Объём часов на выполнение: 18 часов.

Задание на выполнение работы:

1. Процессор должен шифровать текстовую информацию заданного объёма представленную символами ASII. Текстовая информация должна содержать фамилию, имя, отчество студента, номер группы (оставшаяся часть может быть произвольной). Пример шифруемой текстовой информации:

Gornostajev Ivan Petrovich grupa 519!!!

Произвольная часть: Maman hello is!!

 

 

Исходная текстовая информация в процессоре должна храниться в созданном Вами файле ОС, читаться из файла и затем перезаписываться в массив объявленный в программном проекте.

2. Объем шифруемой информации:

группа 331: не менее 128 байт;

группа 332: не менее 192 байт;

 

Для шифрования информации применить вектор ключей, содержащий символы из случайного набора или целые числа. Шифрующий вектор должен содержать количество ключей, которое требует заданный метод шифрования (смотри табл. №1). Ключи-символы (или их числовые коды) должны храниться в файле ОС и затем перезаписываться в массив объявленный в проекте.

Примечание: у каждого студента набор шифрующих ключей должен быть свой. В качестве этих шифрующих ключей для некоторых вариантов можно взять ASCII символы Ф. И. О. Студента.

4. Используемый метод шифрования информации выбирается в соответствии с таблицей № 1: (Смотри таблицу №1)

5. Зашифрованный код символа в формате std_logic_vector должен на выходной порт.

6. Дешифрованный код символа в формате character должен пересылаться на выходной порт, а также должен быть записан в созданный Вами файл ОС.

7. После разработки и успешной компиляции VHDL проекта, построить, используя Waveform Editor, временную диаграмму работы проекта и, используя модуль Simulator, промоделировать работу проекта. Убедиться в правильности получения дешифрованной текстовой информации.

 

Руководящие материалы для разработки проекта процессора:

1. Материалы лекций.

2. Созданные Вами VHDL проекты при выполнении лабораторных работ.

3. Описание языка VHDL и учебные VHDL проекты, содержаться в файле VHDL_TUTORIAL3.doc

4. Примеры учебных VHDL проектов можно также найти в папке Labor.

 

Форма Отчетности:

1. Составить отчет, оформленный в соответствии ГОСТ в котором должны присутствовать следующие разделы:

· Титульный лист с указанием Темы 2-й части курсового проекта.

· Цель работы.

· Задание на проектирование;

· Краткие теоретические сведения о языке VHDL

· Блок-схема алгоритма функционирования проекта

· VHDL проект криптографического процессора;

· Временная диаграмма работы процессора;

· Выводы по работе

· Список литературы

 

2. Защитить 2-ю часть курсового проекта до 15 декабря 2010 года.

 

 

Рекомендация: П еред разработкой VHDL проекта криптографического процессора очень желательно составить блок-схему алгоритма, отражающую алгоритм работы заданного метода шифрования / дешифрования. Блок-схема алгоритма значительно облегчит Вам понимание идей положенных в основу методов шифрования / дешифрования, что позволит значительно ускорить процесс создания программного VHDL проекта.

 

Примечание:

1. Учебные проекты по теме курсовой работы будут хранится на компьютере в директории Timoshenko (аудитория 11- 419). Их можно будет скопировать после 10 сентября 2010г.

2. Основные теоретические сведения о языке VHDL и примеры разного рода учебных проектов содержаться в приложении к настоящему заданию (Смотри файл VHDL_Titorial3.doc)

3. Пример курсовой работы с VHDL проектом, реализующим метод перестановки бит, можно найти в файле kur2.vhd. Описание пакета с набором конвертирующих функций содержится в файле conv.vhd. Файлы с расширением можно открыть посредством текстового редактора САПР Max+Plus II..

 


Таблица 1

2012-2013

Вариант Наименование метода шифрования Особые характеристики проекта
1. Метод сложной замены символов + ключ (Ti Ki+1 )+K, где l (K)= l (T) количество раундов замены – 4
2. Метод сложной замены символов - ключ (Ti Ki+1 )+K, где l (K)= l (T) количество раундов замены – 3
3. Комбинированный метод перестановки соседних пар бит Тшифрпер * ключ на 1 байт - 8 перестановок, (1 2, 2 3) (3 4, 4 5) (5 6, 6 7) (7 8, 8 1) l (K)= l (T)
4. Комбинированный метод перестановки соседних пар бит Тшифрпер + ключ на 1 байт – 2 раунда перестановки по 8 бит в следующей последовательности: 1й раунд (1 3, 2 4) (3 1, 4 2)(5 7, 6 8) (7 5, 8 6) 2й раунд (1 5, 2 6) (3 7, 4 8) (5 1, 6 2) (7 3, 8 4) l (K)= l (T)
5. Метод перестановки группы бит Тшифрпер * ключ 2 бита в группе, на 1 байт количество раундов перестановки – 4. l (K)=2 байта
6. Виженера Длина ключа 3 символа
7. Линейных преобразований матрица шифр. ключей
8. Эль Гамаля
9. RSA
10. RSA
11. Циклический сдвиг влево на 3 бита Тшифр=(Тсдви –К1) * К2 Количество раундов сдвига – 3. Количество раундов наложения/снятия ключа – 6. l (K1)=128 бит, l (K2)= l (T)
12. RSA
13. Циклический сдвиг вправо на 5 бит Тшифрсдви - ключ Количество раундов сдвига – 4. Количество раундов наложения/снятия ключа – 4. l (K)= l (T)
14. Циклический сдвиг влево на 3 бита Тшифрсдви1 – К2 Количество раундов сдвига – 8. Количество раундов наложения/снятия ключа – 4 l (K1)=1 байт, l (K2)= l (T)
15. Логический сдвиг влево на 3 бита Тшифр=(Тсдви1) – К2 Количество раундов сдвига – 1. Количество раундов наложения/снятия ключа К1 – 1. l (K1)=16 бит, l (K2)= l (T)
16. Метод перестановки пар битов Тшифр=(Тпер1)62 на 1 байт - 4 перестановки, количество раундов перестановки –6 l (K1)= l (T) l (K2)= l (T)
17. Логический сдвиг вправо на 3 бита с простой заменой Тшифр=(Т(i)сдви Кi) – К2 Количество раундов сдвига – 1. Количество раундов замены – 1. l (K2)=16 бит, l (K)= l (T)
18. Метод перестановки группы битов с простой заменой Тшифр=(Т(i)сдви К1i)42 Количество бит в группе - 3 бита Количество раундов перестановки на 1 байт - 4 Количество раундов наложения/снятия ключа К1 – 4. Количество раундов наложения/снятия ключа К2 – 1. l (K1)= l (T) l (K2)= l (T)
19. Логический сдвиг вправо на 5 бит Тшифр=((Тпер1)42)3 Количество раундов сдвига – 2. Количество раундов наложения/снятия ключа К1 – 4. Количество раундов наложения/снятия ключа К2 – 3. l (K1)=64 бита, l (K2)= l (T)  
20. Метод перестановки группы бит Тшифр=(Т(i)сдви +К1i)22   Количество бит в группе - 2 бита Количество раундов перестановки на 1 байт - 2 Количество раундов наложения/снятия ключа К1 – 2. Количество раундов наложения/снятия ключа К2 – 3. l (K1)=1 байт l (K2)= l (T)  
21. Логический сдвиг влево на 4 бита с простой заменой Тшифр=((Тсдв К1)+К2)4 Количество раундов сдвига на 1 байт - 2 Количество раундов наложения/снятия ключа К1 – 2. Количество раундов наложения/снятия ключа К2 – 4. l (K1)= l (T) l (K2)= l (T)  
22. Виженера Длина ключа 4 символа
23. Метод перестановки группы бит Тшифр=(Тпер1)4+2К2 Количество бит в группе - 3 бита на 1 байт - 4 перестановки Количество раундов наложения/снятия ключа К2 – 2. l (K1)= l (T) l (K2)= l (T)
24. Метод подстановки с логическим сдвигом вправо на 3 бита Тшифр=(Тi К1i)сдвиг + (Тi К2i) сдвиг Количество раундов сдвига – 1. Количество раундов замены – 1. l (K2)= l (T), l (K1)= l (T)
25. Метод перестановки группы битов с простой заменой Тшифр=(Т(откр)пер К1i)4+(Т(откр)пер К2i)2 Количество бит в группе - 3 бита Количество раундов перестановки на 1 байт - 1 Количество раундов наложения/снятия ключа К1 – 4. Количество раундов наложения/снятия ключа К2 – 2. l (K1)= l (T) l (K2)= l (T)
26. Перестановка бит с циклическим сдвигом вправо на 2 бита Тшифр=((Тпер)сдвиг+К) Количество раундов перестановки на 1 байт - 4 Количество раундов сдвига – 1 Количество раундов наложения/снятия ключа К – 4. l (K) = l (T)
27. Метод перестановки группы бит с циклическим сдвигом влево Тшифр=(Тпер)сдви +К1i)22   Количество бит в группе - 2 бита Количество перестановок на 1байт – 4 Количество раундов перестановки на 1 байт - 2 Количество раундов наложения/снятия ключа К1 – 2. Количество раундов наложения/снятия ключа К2 – 3. l (K1)= l (K2)= l (T)  
28. Перестановка бит с логическим сдвигом влево на 1 бит Тшифр=(((Тпер)сдви 1)22)4 на 1 байт - 8 перестановок, (1 2, 2 3) (3 4, 4 5) (5 6, 6 7) (7 8, 8 1) Количество раундов сдвига на 1 байт - 1 Количество раундов наложения/снятия ключа К1 – 2. Количество раундов наложения/снятия ключа К2 – 4. l (K1)= l (T) l (K2)= l (T)
29. Эль Гамаля
30. RSA

 

Разработчик: доц. Тимошенко Н.П.

 

 

 


Дата добавления: 2015-07-20; просмотров: 111 | Нарушение авторских прав


<== предыдущая страница | следующая страница ==>
Описание схемы электрической принципиальной проекта part1olia.gdf| Материалы и комплектующие

mybiblioteka.su - 2015-2024 год. (0.009 сек.)