Студопедия
Случайная страница | ТОМ-1 | ТОМ-2 | ТОМ-3
АвтомобилиАстрономияБиологияГеографияДом и садДругие языкиДругоеИнформатика
ИсторияКультураЛитератураЛогикаМатематикаМедицинаМеталлургияМеханика
ОбразованиеОхрана трудаПедагогикаПолитикаПравоПсихологияРелигияРиторика
СоциологияСпортСтроительствоТехнологияТуризмФизикаФилософияФинансы
ХимияЧерчениеЭкологияЭкономикаЭлектроника

Оказание первой помощи при поражении электрическим током

Читайте также:
  1. VIII. Показание свидетелей. Дитё
  2. Алгоритмы оказания первой медицинской помощи
  3. АНАЛИЗ ОПАСНОСТИ ПОРАЖЕНИЯ ТОКОМ В ЭЛЕКТРИЧЕСКИХ СЕТЯХ
  4. БЛОК ВТОРОЙ. Причины, ход и итоги Первой русской революции (1905-1907).
  5. БЛОК ВТОРОЙ. Участие России в Первой Мировой Войне (1914-1914) и крушение царизма. 1914-1917 годы.
  6. Болгарское национальное Возрождение в XVIII-первой половине XIX в.
  7. Бригады скорой медицинской помощи

7.9.1 В процессе работы с терминалами может возникнуть ситуация, при которой работающий с терминалом попадает под действие электрического тока. В этом случае необходимо произвести следующие действия:

- отключить устройство (т.е. питание, рубильник);

- прекратить работу и устранить воздействие на пострадавшего факторов, угрожающих его жизни и здоровью;

- определить состояние пострадавшего и характер повреждений, нанесенных пострадавшему электрическим током;

- оказать первую медицинскую помощь (делать искусственное дыхание, делать массаж сердца и др.) и вызвать скорую помощь по телефону 03;

- поддерживать основные жизненные функции пострадавшего до прибытия бригады скорой помощи;

- сообщить немедленно о случившемся непосредственному руководителю;

- не изменять производственную обстановку после несчастного случая без разрешения комиссии по расследованию причин несчастного случая, если это не представляет опасности для жизни и здоровья людей.

Результаты проведённых работ по безопасности и экологичности позволяют создать на рабочем месте сотрудника здоровые и безопасные условия труда, а также в значительной степени снизить производственный травматизм.

 

 


Заключение

Целью настоящего дипломного проекта являлось разработка устройства приема и обработки кодированной информации.

Были выполнены следующие работы:

- изучение языка проектирования цифровых систем VHDL;

- изучение пакета HDL Designer 2008.1;

- разработка алгоритма работы устройства;

- разработка и обоснование общей структуры УПОКС;

- разработка и описание компонентов в системах ModelSim;

 

Внедрение такой системы позволит:

- повысить скорость разработки нескольких типов устройств на базе УПОКС;

- снизить массогабаритные характеристики разрабатываемых устройств;

- отказаться от использования нескольких специализированных микросхем в разрабатываемых устройствах.


Перечень сокращений

УПОКС – устройство приема и обработки кодированных сигналов;

БЧ – бит четности;

ПЛИС – программируемая логическая интегральная схема;

БМК – базовый матричный кристаллы;

БИС – большая интегральная схема;

СБИС – сверхбольшая интегральная схема;

САПР – система автоматизированного проектирования;

ИС – интегральная схема.

 


Список литературы

1 Основы языка VHDL./ Бибило П.Н. – Москва: СОЛОН-Р, 2002. – 224 с.: ил.;

2 Система проектирования интегральных схем на основе языка VHDL. StateCAD, ModelSim, Leonardo Spectrum./ Бибило П.Н. – Москва: СОЛОН-Пресс, 2005. – 384 с.;

3 Схемотехника электронных систем. Цифровые устройства./ Бойко В.И. – СПб.: БХВ-Петербург, 2004 – 512 с.: ил;

4 Устройство приема и обработки сигналов. учебное пособие для вузов./ Колосовский Е.А. – М: Горячая линия – Телеком, 2007.- 456с.: ил.

5 ГОСТ 12.1.045-84 Электрические поля. Допустимые уровни на рабочих местах.;

6 ГОСТ 12.1.004-91 ССБТ. Пожарная безопасность. Общие требования.;

7 СанПиН 2.2.4.548-96 «Гигиенические требования к микроклимату производственных помещений».;

8 СНиП 23.05-95 «Естественное и искусственное освещение».;

9 СНиП 21.01-97 «Пожарная безопасность зданий и сооружений».;

10 СНиП 2.01.02-85 «Противопожарные нормы проектирования зданий и сооружений».


Приложение А

(обязательное)

VHDL - описание компонента “Count”

 

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_arith.all;

 

ENTITY count2 IS

port (

R, stop, syn, clk: in std_logic;

R17_EN: out std_logic;

C: out integer range 0 to 17);

END ENTITY count2;

 

ARCHITECTURE a0 OF count2 IS

signal C1: integer range 0 to 17;

BEGIN

p0: process (R, clk)

begin

if (R ='1'or stop ='1') then C1 <=0; R17_EN<='0';

elsif (clk'event and clk='1') then

if C1=16 then R17_EN<='1'; c1<=0;

elsif syn='1' then C1<=c1+1;

end if;

end if;

end process;

c<=c1;

END ARCHITECTURE a0;

 


Приложение Б

(обязательное)

VHDL - описание компонента “GEN_Adr”

 

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_arith.all;

 

ENTITY dc1 IS

port (

R, stop: in std_logic;

C: in integer range 0 to 16;

ADR: out std_logic_vector(16 downto 0)

);

END ENTITY dc1;

 

ARCHITECTURE a0 OF dc1 IS

BEGIN

p0: process (c,R)

begin

if (R<='1'or stop='1') then adr<="00000000000000000";

end if;

case C is

when 1 => adr(0)<='1';

when 2 => adr(1)<='1';

when 3 => adr(2)<='1';

when 4 => adr(3)<='1';

when 5 => adr(4)<='1';

when 6 => adr(5)<='1';

when 7 => adr(6)<='1';

when 8 => adr(7)<='1';

when 9 => adr(8)<='1';

when 10 => adr(9)<='1';

when 11 => adr(10)<='1';

when 12 => adr(11)<='1';

when 13 => adr(12)<='1';

when 14 => adr(13)<='1';

when 15 => adr(14)<='1';

when 16 => adr(15)<='1';

when others => adr<="00000000000000000";

end case;

end process;

END ARCHITECTURE a0;

 


Приложение В

(обязательное)

VHDL - описание компонента “DFF”

 

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_arith.all;

 

ENTITY DFF IS

port (

RST, EN, D: in std_logic;

Q: out std_logic);

END ENTITY DFF;

ARCHITECTURE a0 OF DFF IS

BEGIN

process (RST, EN)

begin

if (RST ='1') then

Q <='0';

elsif (EN'event and EN ='1') then

Q <= D;

end if;

end process;

END ARCHITECTURE a0;

 

 


Приложение Г

(обязательное)

VHDL - описание компонента “Or”

 

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_arith.all;

 

ENTITY or1 IS

port (

R, syn, A, B: in std_logic;

ERR: out std_logic);

END ENTITY or1;

 

ARCHITECTURE a0 OF or1 IS

BEGIN

p0: process (R, syn, a, b)

begin

if (R='1') then err<='0';

elsif A=B then

if syn ='0' then err<='0';

else err<='1';

end if;

end if;

end process;

END ARCHITECTURE a0;

 


Приложение Д

(обязательное)

VHDL - описание компонента “CODER”

 

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_arith.all;

 

ENTITY cd IS

PORT(

bc: IN std_logic;

Q: IN std_logic_vector (15 DOWNTO 0);

sesyn: IN std_logic;

a: OUT std_logic;

b: OUT std_logic;

r: IN std_logic;

rqd: IN std_logic;

clk1: IN std_logic;

clk2: IN std_logic

);

END cd;

ARCHITECTURE a0 OF cd IS

signal cv20: integer range 0 to 20;

signal cv40: integer range 0 to 40;

signal ca1: std_logic;

signal ca2: std_logic;

signal cb1: std_logic;

signal cb2: std_logic;

BEGIN

p0: process (R, clk1)

begin

if R='1' then cv40<=0;

elsif (clk1'event and clk1='1') then

if rqd='1' then

end if;

if cv40 = 40 then cv40<=0;

else cv40<=cv40+1;

end if;

end if;

end process;

 

p1: process (R, clk2)

begin

if R='1' then cv20<=0;

elsif (clk2'event and clk2='1') then

if rqd='1' then

end if;

if cv20 = 20 then cv20<=0;

else cv20<=cv20+1;

end if;

end if;

end process;

 

p2: process (sesyn, cv40)

begin

if sesyn='1' then

case cv40 is

when 1 => ca1<='1'; cb1<='0';

when 2 => ca1<='1'; cb1<='0';

when 3 => ca1<='1'; cb1<='0';

when 4 => ca1<='0'; cb1<='1';

when 5 => ca1<='0'; cb1<='1';

when 6 => ca1<='0'; cb1<='1';

when others => ca1<='0'; cb1<='0';

end case;

 

elsif sesyn='0' then

case cv40 is

when 1 => ca1<='0'; cb1<='1';

when 2 => ca1<='0'; cb1<='1';

when 3 => ca1<='0'; cb1<='1';

when 4 => ca1<='1'; cb1<='0';

when 5 => ca1<='1'; cb1<='0';

when 6 => ca1<='1'; cb1<='0';

when others => ca1<='0'; cb1<='0';

end case;

end if;

end process;

 

p3: process (cv20, q, bc)

begin

case cv20 is

when 4 => ca2<= q(0); cb2<= not q(0);

when 5 => ca2<= q(1); cb2<= not q(1);

when 6 => ca2<= q(2); cb2<= not q(2);

when 7 => ca2<= q(3); cb2<= not q(3);

when 8 => ca2<= q(4); cb2<= not q(4);

when 9 => ca2<= q(5); cb2<= not q(5);

when 10 => ca2<= q(6); cb2<= not q(6);

when 11 => ca2<= q(7); cb2<= not q(7);

when 12 => ca2<= q(8); cb2<= not q(8);

when 13 => ca2<= q(9); cb2<= not q(9);

when 14 => ca2<= q(10); cb2<= not q(10);

when 15 => ca2<= q(11); cb2<= not q(11);

when 16 => ca2<= q(12); cb2<= not q(12);

when 17 => ca2<= q(13); cb2<= not q(13);

when 18 => ca2<= q(14); cb2<= not q(14);

when 19 => ca2<= q(15); cb2<= not q(15);

when 20 => ca2<= bc; cb2<= not bc;

when others => ca2<='0'; cb2<='0';

end case;

end process;

 

a<= ca1 or ca2;

b<= cb1 or cb2;

 

END ARCHITECTURE a0;


Дата добавления: 2015-07-08; просмотров: 193 | Нарушение авторских прав


Читайте в этой же книге: Исходные данные на дипломное проектирование | Разработка алгоритма УПОКС | Разработка функциональной схемы | Специфика конструирования и отладки на ПЛИС и SOPC. | Алгоритм декодирования синхросигнала | Расчет тактовой частоты | Экономическая часть | Расчет себестоимости разработки дипломного проекта | Экономическая эффективность | Производственная санитария |
<== предыдущая страница | следующая страница ==>
Пожарная безопасность при эксплуатации ЭВМ| ІІ-тарау. Германиядағы фашистік режим және оның ерекшеліктері

mybiblioteka.su - 2015-2024 год. (0.022 сек.)