Студопедия
Случайная страница | ТОМ-1 | ТОМ-2 | ТОМ-3
АрхитектураБиологияГеографияДругоеИностранные языки
ИнформатикаИсторияКультураЛитератураМатематика
МедицинаМеханикаОбразованиеОхрана трудаПедагогика
ПолитикаПравоПрограммированиеПсихологияРелигия
СоциологияСпортСтроительствоФизикаФилософия
ФинансыХимияЭкологияЭкономикаЭлектроника

Тема: VHDL проектирование криптографических процессоров с использованием файлового ввода/вывода

Практическая организация ЧТЕНИЕ текстовых ДАННЫХ из ФАЙЛА ОС. | Читаем текст из файла! | Практическая организация ЗАПИСИ текстовых ДАННЫХ в ФАЙЛ ОС. | Waveform Editor1 | ПримерVHDL проекта оперирующего с файлами ОС | Форматированные операции ввода-вывода (чтения-записи) с символами ASCII. | Процедуры записи данных в файл для стандартных типов данных | Проиллюстрируем примерами методологию применения процедур чтения/записи стандартных типов данных, определенных в пакете TEXTIO библиотеки STD. |


Читайте также:
  1. FILE_CLOSE (Имя файлового объекта (контейнера)).
  2. Б) Хеджирование с использованием показателя протяженности
  3. Вопрос 2. Дисфункциональность бюрократий и перепроектирование организаций под командную работу
  4. Вопрос 3. Перепроектирование организаций для введения командной работы
  5. Восстановление из файлового архива
  6. Г) связь заболеваний с использованием воды определенного источника;
  7. Глава III. Порядок обращения за назначением пенсий с использованием данных персонифицированного учёта

Вопросы по теме:

  1. Вводные замечания
  2. Практическая организация ЧТЕНИЕ текстовых ДАННЫХ из ФАЙЛА ОС.
  3. Практическая организация ЗАПИСИ текстовых ДАННЫХ в ФАЙЛ ОС.
  4. Практическая организация ДОБАВЛЕНИЯ текстовых ДАННЫХ в ФАЙЛ ОС.
  5. Пример VHDL проекта оперирующего с файлами ОС
  6. Приложение №1: Процедуры пакета TEXTIO обеспечивающих запись/чтение стандартных типов данных
  7. Приложение №2: Общая структурная схема процесса записи/чтения файловых данных

Примечание: Материалы Лекции изучать целесообразно совместно с одновременным рассмотрением общей структурной схемы процедур записи/чтения данных (Смотри Приложение №2)

1. Вводные замечания

При разработке суперпроектов криптографических процессоров на языке VHDL возникает вопрос: «Где хранить исходные шифруемые данные, предназначенные для моделирования работы проекта (предназначенные для проверки функциональной работоспособности проекта) и где хранить результаты криптографических преобразований, полученные в результате процесса моделирования?» Такие данные, в силу их большого объема, часто целесообразно хранить в отдельных файлах Операционной системы.

В языкеVHDLдля работы с файлами Операционной системы имеется специальныйтип программных объектов, которые получили название объекты файлового типа (файловые величины-переменные). Такие объекты неразрывно связаны с ключевым словом file. То, что в языке VHDLимеетсявозможность обрабатывать информацию, хранимую в файлах ОС, а также сохранять результаты работы проектов в файлах ОС существенно улучшает переносимость проектов, а также существенно сокращает аппаратные затраты при реализации проектов.

Пользовать, при разработке VHDLпроекта, имеет возможность ввести в проект свои собственные объекты файлового типа. Однако он обязан предварительно объявить тип для формируемого им программного файлового объекта. Конструкция оператора дающего возможность пользователю объявить тип формируемого им файлового объекта имеет такой вид:

Type Имя пользовательского типа для будущего файлового объекта is file of Тип данных хранимых/записываемых в файл;

Имея в своем распоряжении такое объявление пользовательского типа, предоставляется возможность объявить сам объект файлового типа. Конструкция объявления объекта файлового типа имеет такой вид:

 

File Имя файлового объекта: Имя предварительно объявленного пользовательского типа для файлового объекта open Режим доступа is «Полный путь к физическому файлу ОС к которому требуется получить доступ;

 

Примечание: Объявить объект файлового типа можно в таких местах:

· В подразделе объявлений оператора process;

· В пакете;

· В подразделе объявлений тела архитектуры.

 

Важно помнить, в языке VHDL, объект файлового типа (Имя объекта файлового объекта) играет роль промежуточного звена (контейнера) между VHDL проектом и физическим файлом ОС.

В языке VHDL поддерживаются три режима доступа к файлу ОС через объект файлового типа(через файловую программную величину - контейнер): чтение данных из файла, запись данных в файл, добавление данных в файл.

 

Режим доступа чтение данных из файла имеет идентификатор READ_MODE (Этот режим взаимодействует со специальной подпрограммой-процедурой read(…)).

Режим доступа для записи данных в файл имеет идентификатор WRITE_MODE (Этот режим взаимодействует со специальной подпрограммой-процедурой write(…))..

Режим доступа для добавления данных в файл имеет идентификатор APPEND_MODE (Этот режим взаимодействует со специальной подпрограммой-процедурой write(…))..

 

Важное примечание: Режимы доступа к файлу гарантируют только право на обращения к файлу ОС, а не фактический доступ к его информации.

 

 

Следует также особо уяснить, что для получения фактического доступа к файлу необходимо дополнительно выполнить операции по открытию файла (только после получения доступа к файлу можно будет приступить к выполнению процедур или чтения данных из файла, или записи/добавления данных в файл (т.е. переноса данных из физического файла ОС в контейнер при чтении или переноса данных из контейнера в файл ОС при их записи)).

Операция открытия файла реализуется при помощи специальной подпрогаммы-процедуры FILE_OPEN(…). Конструкция объявления подпрограммы-процедуры FILE_OPEN(…) с указанием наименований ее фактических параметров имеет такой вид:

 

FILE_OPEN (Имя файлового объекта (контейнера), «Полный путь к физическому файлу ОС который требуется открыть, Режим доступа) ;

Когда обмен данными между файлом и проектом закончен, следует позаботиться также о закрытии доступа к файлу ОС. Операция закрытия доступа к файлу ОС реализуется с помощью специальной подпрограммы-процедуры FILE_CLOSE(…). Конструкция вызова подпрограммы-процедуры FILE_CLOSE(…) с указанием наименования ее фактического параметра имеет такой вид:


Дата добавления: 2015-08-27; просмотров: 149 | Нарушение авторских прав


<== предыдущая страница | следующая страница ==>
Международный кредит| FILE_CLOSE (Имя файлового объекта (контейнера)).

mybiblioteka.su - 2015-2024 год. (0.015 сек.)