Студопедия
Случайная страница | ТОМ-1 | ТОМ-2 | ТОМ-3
АрхитектураБиологияГеографияДругоеИностранные языки
ИнформатикаИсторияКультураЛитератураМатематика
МедицинаМеханикаОбразованиеОхрана трудаПедагогика
ПолитикаПравоПрограммированиеПсихологияРелигия
СоциологияСпортСтроительствоФизикаФилософия
ФинансыХимияЭкологияЭкономикаЭлектроника

Общая характеристика языка VHDL

Типы программных объектов (Types) | Элементы проектирования устройств комбинаторной логики через поток данных (Dataflow design elements) | Операторы последовательной (sequential ) логики, которые включаются в тело оператора process | На потоке данных (Dataflow design data) | Project 8-bit Shift Register include component DFF |


Читайте также:
  1. Describing the employee-­ Характеристика служащего
  2. I. ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ
  3. II. 1. Общая характеристика отклоняющегося поведения несовершеннолетних
  4. II. ЛЕ БОН И ЕГО ХАРАКТЕРИСТИКА МАССОВОЙ ДУШИ
  5. II. ПРИЕМЫ ИММОБИЛИЗАЦИИ И ХАРАКТЕРИСТИКА НОСИТЕЛЕЙ
  6. III. ДАННЫЕ О БУДУЩЕМ КУРСЕ ИНОСТРАННОГО ЯЗЫКА
  7. III. ХАРАКТЕРИСТИКА НАПРАВЛЕНИЯ ПОДГОТОВКИ

“VHDL” это аббревиатура “VHSIC Hardware Description Language - Язык Описания Аппаратных средств СВЕРХБЫСТРОДЕЙСТВУЮЩИХ Интегральных Схем ”. Аббревиатура VHSI, в свою очередь, расшифровывается “Very High Speed Integrated Circuit - Очень Высокоскоростная Интегральная схема". Язык разрабатывался по совместной научной программе между американским Министерством обороны и ИИЭР в середине 1980-ых годов. Тема исследований: Разработка высокоэффективной технологии интегральных схем. VHDL был стандартизирован ИИЭР в 1987 (VHDL-87) и расширялся в 1993 (VHDL-93).

Основные характеристики языка:

· Проекты могут быть представлены иерархически.

· Каждый проектируемый модуль (проектируемое цифровое устройство) имеет:

- четкий интерфейс (для того, чтобы соединяться с другими модулями);

- точную спецификацию (для того, чтобы описать его функционирование).

· Спецификации VHDL проектов могут использовать или алгоритм работы или аппаратную структуру проектируемого изделия, т.е. в соответствии с уровнями абстракции проектов их описания (модели) могут иметь такие типы: поведенческий, потоковый, структурный (компонентный).

· Использование событийного принципа управления при моделировании алгоритма работы проекта.

· Протекание параллельных процессов (Concurrency Process), временной анализ (timing) и синхронная работа в схемах электрических- принципиальных цифровых устройств могут все быть смоделированы.

· VHDL обрабатывает асинхронные и синхронные структуры комбинаторной и регистровой логики, соответственно.

· Логика работы VHDL проектов и их временной анализ может моделироваться.

· VHDL поддерживается Инструментальными средствами синтеза (Системами автоматизированного проектирования разных фирм), которые могут создавать структуры логических схем прямо из поведенческого описания VHDL проекта.

· Используя VHDL, Вы можете проектировать, имитировать (моделировать) и синтезировать практически любое цифровое устройство, начиная от простой комбинационной схемы до законченной микропроцессорной системы на СБИС.

 

Перечисленные выше возможности языка VHDL поддерживают такие программные средства:


Дата добавления: 2015-11-14; просмотров: 48 | Нарушение авторских прав


<== предыдущая страница | следующая страница ==>
Методы программного проектирования цифровых устройств| Программные единицы языка VHDL

mybiblioteka.su - 2015-2024 год. (0.008 сек.)