Студопедия
Случайная страница | ТОМ-1 | ТОМ-2 | ТОМ-3
АвтомобилиАстрономияБиологияГеографияДом и садДругие языкиДругоеИнформатика
ИсторияКультураЛитератураЛогикаМатематикаМедицинаМеталлургияМеханика
ОбразованиеОхрана трудаПедагогикаПолитикаПравоПсихологияРелигияРиторика
СоциологияСпортСтроительствоТехнологияТуризмФизикаФилософияФинансы
ХимияЧерчениеЭкологияЭкономикаЭлектроника

Какие процессы протекают в системе при компиляции проекта

Читайте также:
  1. I. Образ проекта.
  2. I. Экспертная деятельность в системе профессиональных компетенций культуролога
  3. II. Описание проекта
  4. III.Требования к оформлению курсового проекта.
  5. N Типовые патологические процессы
  6. SELF В СИСТЕМЕ И ПРОБЛЕМЫ ХАРАКТЕРА
  7. V. СУДЬБА СЛАВЯНСКОЙ МИФОЛОГИИ. КАКИЕ ПИСАТЕЛИ ЗАНИМАЛИСЬ СИМ ПРЕДМЕТОМ.

Каково назначение информационных входов счетчика (на примере

КР155ИЕ6)

D0….D3 -Выход информации

С -Вход синхронизации записи с информационных входов D1,….D8

EWR (отрицание) -Разрешение записи

EC1 (ECT)-Вход разрешения счета

EC2 (ECR)-Вход разрешения переноса

R (отрицание)-Входсброса

Q0,…Q3 -Выходы информационные

СR -Выход сигнала ПЕРЕНОС

 

Поясните принцип работы полусумматора (2 разрядный). Как построить полный сумматор на 4 разряда.

Полусумматор — логическая схема, имеющая два входа и два выхода (двухразрядный сумматор, бинарный сумматор). Полусумматор используется для построения двоичных сумматоров. Полусумматор позволяет вычислять сумму A+B, где A и B — это разряды двоичного числа, при этом результатом будут два бита S и C, где S — это бит суммы по модулю 2, а C — бит переноса. Однако, как можно заметить, для построения схемы двоичного сумматора (трёхразрядный сумматор, тринарный сумматор) необходимо иметь элемент, который суммирует три бита A, B и C, где C — бит переноса из предыдущего разряда, таким элементом является полный двоичный сумматор.

 

Какие процессы протекают в системе при компиляции проекта

Компилятор пакета Quartus II состоит из ряда модулей, выполняющих следующие функции:

-проверка проекта на наличие ошибок;

-логический синтез;

-размещение и разводка проекта в ПЛИС;

-генерация выходных файлов для моделирования проекта;

-анализ временных характеристик;

-программирование.

В начале компиляции проекта из него извлекается информация об иерархических связях между составляющими его файлами, и описание проекта проверяется на наличие основных ошибок. Затем создается организационная карта проекта, и все файлы преобразуются в единую базу данных, с которой в последствие и будет работать система.


 

6 Что такое язык описания аппаратуры? Назовите существующие языки

описания аппаратуры, в чем их отличие?

Язык описания аппаратуры — тип компьютерных языков для формального описания электрических цепей, особенно цифровой логики. Он описывает структуру и функционирование цепи.

Наиболее часто используются языки:

VHDL,AHDL,Verilog.

Описание на языке VHDL определяет внешние связи устройства (“вид снаружи” или интерфейс) и один или несколько “видов изнутри” Вид снаружи задает интерфейс устройства, набор сигналов, которыми устройство обменивается с внешним миром.

Одним из критериев является то, что в отличии от VHDL, в Verilog не требуется подключать всевозможные библиотеки, в которых можно запутаться.

Недостаток AHDLвегопроприетарности. Преимущество AHDL в том, что все конструкции языка синтезируемы. В сравнении с VerilogAHDL как язык ассемблера в сравнении с языком высокого уровня: в AHDL больше контроля, но меньше высокоуровниевой поддержки.

 

 

7 Как реализуется счетчик с заданным числом счета?

Описание с использованием мастера мегафункцийMegaWizard

В появившемся окне необходимо ответить на вопрос «Какое действие Вы хотите выполнить?» (Which action do you want to perform?).

«Создатьновыйблокнаосновемегафункции» (Create a new custom megafunction variation) идалеенажатьклавишу «Следующийшаг» (Next).

Появляется новое окно с названием MegaWizardPlug-InManager[page 2а. В правом верхнем углу возле надписи «Какое семейство ПЛИС Вы хотите использовать?» (Witch device family will you be using) необходимовыбратьтребуемыйтип ПЛИС. Ниже, под вопросом «Какой тип выходного файла вы хотите создать» (Witchtypeofoutputfiledoyouwanttocreate?), необходимо выбрать язык описания который будет использовать разрабатываемый файл. Можно выбрать АHDL, VHDL или VerilogHDL. Ниже, на вопрос «Как Вы хотите назвать выходной файл?» (Whatnamedoyouwantfortheoutputfile?), необходимо ввести имя создаваемого файла с указанием пути к нему.Выбираем язык AHDL и имя выходного файла «Count».В левой части окна под надписью «Подключаемые вспомогательные программы» (InstalledPlug-Ins) необходимо открыть подпункт «Арифметические» (Arithmetic) и ниже табличку с надписью LPMCOUNTER.Далее необходимо нажать кнопку «Следующий шаг» (Next).На экране появляется следующее окно с названием MegaWizardPlug-InManager - LPM_COUNTER [page 3 of 6], позволяющее выбрать разрядность его выходной шины (Howwideshouldthe “q” outputbusde). Ниже под вопросом «Какое направление счета счетчика» (Whatshouldthecounterdirectionbe?) предлагается выбрать одну из следующих возможностей: Суммирующий (Uponly);Вычитающий (Downonly);Реверсивный с входом управления направлением счета (1 – суммирующий; 0 - вычитающий) (Createan “updown” inputtoallowmedoboth (12 countsup; 0 countsdown)).Если была нажата кнопка «Следующий шаг» (Next) на экране появляется окно с названием MegaWizardPlug-InManager - LPM_COUNTER [page 4 of 6] (рис.37), позволяющее выбрать:модуль счеты счетчика - (Witchtypeofcounterdoyouwant?). Предлагается создать либо двоичный счетчик (Plainbinary)? Либо счетчик с заданным модулем счета. Причем значение модуля задается в специальном окне (Modulus, withfcountmodulusof).Дополнительные входы управления счетчиком такие как:Вход разрешения сигнала синхронизации (ClockEnable)Вход разрешения работы счетчика (CountEnable)Вход сигнала переноса (Carry-in)Выход сигнала переноса (Carry-out)После выбора указанных параметров можно либо завершить создание счетчика (нажать кнопку «Завершить» (Finish)), либо продолжить задание необходимых свойств счетчика (нажать кнопку «Следующий шаг»).

Если была нажата кнопка «Следующий шаг» (Next) на экране появляется окно с названием MegaWizardPlug-InManager - LPM_COUNTER [page 5 of 6], позволяющее выбрать: синхронные и асинхронные входы установки (Set), сброса (Clear) или записи (Load) информации.

Еслибыланажатакнопка «Следующийшаг» (Next) наэкранепоявляетсяокно с названиемMegaWizard Plug-In Manager - LPM_COUNTER [page 5 of 6]—Summary.Это окно содержит информацию обо всех создаваемых файлах счетчика. Для завершения процесса создания файлов с описанием счетчика необходимо нажать клавишу «Завершить» (Finish).

 

8. Как назначить выводы ПЛИС в Quartus II? Всегда ли необходимо


Дата добавления: 2015-07-14; просмотров: 117 | Нарушение авторских прав


<== предыдущая страница | следующая страница ==>
Доказательство| Записать семь логических операций языка VHDL

mybiblioteka.su - 2015-2024 год. (0.007 сек.)